Journal of Modern Physics
Vol.5 No.5(2014), Article ID:44388,11 pages DOI:10.4236/jmp.2014.55039

Extendibility Evaluation of Industrial EUV Source Technologies for kW Average Power and 6.x nm Wavelength Operation

Akira Endo1,2

1Research Institute for Science and Engineering, Waseda University, Tokyo, Japan

2HiLASE Project, Institute of Physics AS, Prague, Czech Republic

Email: akrendo@nifty.com

Copyright © 2014 by author and Scientific Research Publishing Inc.

This work is licensed under the Creative Commons Attribution International License (CC BY).

http://creativecommons.org/licenses/by/4.0/

Received 8 January 2014; revised 5 February 2014; accepted 3 March 2014

ABSTRACT

Interests in the extendibility are growing after the introduction of the LPP (Laser Produced Plasma) EUV source technology in the semiconductor industry, towards higher average power and shorter wavelength, based on the basic architecture of the established LPP EUV source technology. It is discussed in this article that the power scaling of the 13.5nm wavelength source is essentially possible by a slight increase of the driving laser power, CE (Conversion Efficiency) and EUV collection efficiency by some introduction of novel component technologies. Extension of the EUV wavelength towards BEUV (Beyond EUV), namely 6.x nm is discussed based on the general rule of the UTA (Unresolved Transition Arrays) of high Z ions, and development of multilayer mirrors in this particular wavelength region. Technical difficulties are evaluated for the extension of the LPP source technology by considering the narrower mirror bandwidth and higher melting temperature of the candidate plasma materials. Alternative approach based on the superconducting FEL is evaluated in comparison with the LPP source technology for the future solution.

Keywords:EUV; LPP; High Power; BEUV; FEL; Super Conducting Accelerator

1. Introduction

The semiconductor industry is pursuing continuous shrinking of the micro circuit for the next generation business. The basic manufacturing technology is the micro lithography, and the principal architecture is the shortening of the illumination wavelength. Wavelength shift from DUV to EUV was proposed long before, even by lacking any realistic high average power EUV source technology. Historically in the mass production of semiconductor devices, exposure tools have been repeatedly replaced with those with a shorter wavelength to meet the resolution requirements projected in the International Technology Roadmap for Semiconductors issued by the Semiconductor Industry Association. After the ArF immersion lithography, extreme ultraviolet (EUV; 13.5 nm, 92.5 eV) radiation is in the introduction phase as an exposure tool for the mass production at or below the 22 nm technology node. It means that the 13.5 nm EUV source is the first ionizing radiation used for the mass production of semiconductor devices. A superconducting FEL was once proposed as a candidate for this purpose assuming an establishment of energy recovery technology, to achieve10 kW average power at 13.5 nm wavelength [1] . The basic architecture of the LPP EUV source was recently established after one decade of intensive research and engineering, to present a platform to supply highly stable, clean in band EUV incoherent light peaked at 13.5 nm, to be integrated into a EUV scanner [2] . The light source is based on spherically uniform emission from highly ionized Sn (tin) plasma. The EUV light source is composed of three major components, namely driving laser, plasma generation and exhaust, and EUV light collection. A large diameter Mo/Si mirror is installed close to the Sn plasma with bandwidth of 2% at 13.5 nm peak wavelength. The employed architecture in the industry is essentially the same among two major source suppliers [3] [4] . It is reported from one supplier that the current power available from sources in the field is 8 W, and a test source is operated in the company laboratory at 32 W. Next generation source technology demonstrated approximately 160 W with 3% conversion efficiency [5] . It is discussed in this article on the extendibility of the established plasma source technology towards kW average EUV power level. A typical configuration of the LPP EUV source for HVM (High Volume Manufacturing) application is explained, especially by indicating the efficiency of each component. It is discussed on the physical reason of the selected component technologies, and is estimated on the physical limit of the improvement. Higher EUV light transfer efficiency is required from plasma to IF (intermediate focus point) for average power improvement together with source cleanness. Magnetic guiding of fully ionized Sn atoms is shown as the solution to this requirement. These issues are described in Section 2.

Extendibility towards shorter wavelength is interesting as one of the potential solutions for the EUV extension (besides double patterning of 13.5 nm) in the last few years to the wavelength region of 6.x nm by Gd (Gadolinium) or Tb (Terbium) [6] . The efficient EUV emission is originated from UTA of highly ionized high Z atoms. Z dependent wavelength shift is shown by examples, and experimental and theoretical results are compared to confirm the possibility. High reflectivity mirror is expected as La/B4C or La/B multilayer with peak reflectivity at 6.635 nm and 6.675 nm respectively [7] [8] . Reflectivity bandwidth is narrower as 0.6% for both multilayer compared with 2% of Mo/Si at 13.5 nm. There is a requirement of matching the emission and reflectivity wavelength for higher efficiency. Available EUV power is thus limited due to the narrower bandwidth of the multilayer mirrors in this wavelength region, in spite of the fact that the emission property is essentially the same among any atoms. Typical target supply is now realized by a micro droplet injection of Sn form a perturbed liquid micro nozzle. Liquid metal technology is essential for stable operation of the micro droplet, and the melting temperature is 232˚C for Sn and 1312˚C for Gd. The higher melting temperature of Gd or Tb makes the nozzle technology difficult for stable operation. The technical challenge is significant in this extension, and a list of necessary research is given by comparison with superconducting FEL approach. Recent FEL BEUV proposal is evaluated in comparison with the extension of the plasma approach [9] . Section 3 discusses on the extension to the shorter wavelength.

2. Basic Architecture of the LPP EUV Source and Extension to kW Average Power

The basic configuration of the LPP EUV source is schematically shown in Figure 1. Tiny liquid Sn droplet is generated from a device based on a liquid jet technology, and is injected with velocity of 50 m/s to a EUV emission point of typically 10 cm distant from the nozzle tip with high positioning precision around 1 μm. Synchronization with the laser irradiation is realized by an electrical circuit with a feedback control. The requirement for a tighter étendue prefers a smaller EUV spot, and each plasma generation should be based on a minimum mass Sn droplet injection. The operational repetition rate is usually more than 50 kHz to ensure higher average EUV power generation. The tiny Sn droplet of typically 20 μm diameter is dispersed by a short pulse laser into uniformly dispersed clusters for better coupling with main CO2 laser irradiation. In band EUV emission is generated isotropic with CE more than 3%, and is collected by a large C1 mirror with 5 str solid angle.

Figure 1. Basic configuration of a LPP source. Typical operational parameters are indicated in the figure for an 180 W EUV source.

There are fundamental engineering concerns in this configuration to ensure a long time period, stable source operation. The first one is the cooling of all components and the vacuum vessel, which is caused from the input of high average laser power. It is well studied on the energy balance in the plasma generation, and it must be regarded that more than 90% input laser power is converted finally into heat. The second one is the spectral purity of the collected EUV light, which is overlapped with out of band and laser radiation. The third one is the reduction of the EMI (Electro Magnetic Interference) generated from the plasma generation itself and sputtering by plasma particles.

Recent LPP EUV source performance is well described in a paper by I. V. Fomenkov [5] , and this is summarized in the center column of Table 1. Present EUV source is characterized by CO2 laser average power as 20 kW, CE as 2.5%, and EUV collection efficiency as 22%. These parameters are continuously improving by various engineering efforts. Model parameters are shown in the right column for the extension to 1 kW EUV IF power, such like CO2 laser average power as 50 kW, CE as 5%, and EUV collection efficiency as 40%. It is discussed in the following on each parameter if this assumption is reasonable in the next few years to be realized, based on the extension of the present LPP architecture.

2.1. Maximum Repetition Rate

The straightforward approach to increase the average EUV power is to increase the operational repetition rate by keeping all parameters as the same. Maximum repetition rate is limited by an interference of the incoming tiny droplet from the expanding micro plasma cloud. The present droplet interval is typically 1 mm for droplet trains of 30μm diameter at 50 kHz. Figure 2 shows the schematic of the expanding plasma cloud with incoming next droplet. The droplet interval is 1mm, and the plasma edge speed is 106 cm/s typically. The plasma density in which the next droplet collides is 10−6 times lower than the original liquid density. Plasma exhaust is realized by drift control in a magnetic field.

Ion current shape from a pre-pulsed droplet was measured in a magnetic field of 0.15 Tesla strength. It is estimated from the measurement that the micro plasma is exhausted within 2 μs. We can thus safely estimate from the experimental data that the droplet time interval is possible as 7 μs, which is equivalent to 150 kHz repetition rate with 1mm droplet intervals. Droplet speed should be increased 3 times faster compared to the present operational parameter. This is possible by increasing of the backing pressure in the droplet generator together with electrostatic acceleration of charged Sn droplets.

2.2. Upgrade of the CO2 Laser Driver

The fundamental physical properties of the pulsed short pulse amplification in RF pumped CO2 laser are described by the author [10] , and recently reviewed by K.Nowak on the evolution of this particular technology [11] . The limitation of the available maximum average power is dominated by the characteristics of the final

Figure 2. Schematic of an expanding micro Sn plasma and an incoming next droplet.                                                       

Table 1. Typical operational parameters are shown in a present 110 W source and upgraded 1 kW source. Data are from reference 5 for the present one* and from reference 13 for the 1 kW case**.

amplifier geometry. Amplified spontaneous emission, parasitic oscillation and gain coupling limits the usable laser gain, and these are still one of the important research subjects. Power amplifiers employ the fast axial flow (FAF) type RF pumped CO2 laser, and the diameter of the output window is around 30 mm, which is made of synthetic diamond. Strict limitation comes from the thermal loading of the diamond window under extreme high average power in pulsed mode. A model calculation results are shown in Figure 3 on the temperature distribution in 50 mm diameter diamond window of 12.5 mm thickness [12] . The diamond is water cooled at the edge at 288 K temperature, and the both window side is at air of 300 K temperature. Laser power is fixed at 100 kW with the diameter thickness d for 5, 7, 10, 13 mm. The combustion temperature of diamond in air is usually in 870 - 1070 K, and the case for d = 13 mm is only allowable.

Figure 4 shows the calculated OPD (Optical Path Difference) for this diameter, and the peak OPD is around one wavelength, which should be compensated in the delivery optics for focusing into small spot size. It is concluded from these considerations that it is reasonable to use two 25 kW CO2 laser beams combined to supply 50 kW average driving laser power to the 150 kHz droplet trains.

2.3. Picosecond Pre-Pulsing of Droplets

It is well demonstrated that an initial conditioning of the Sn target is essential for better coupling of irradiating CO2 laser energy into Sn plasma to generate optimized plasma condition for higher CE and efficient Sn plasma exhaust. Several mJ pulse energy from a solid state laser with wavelength at 1 μm is employed for Sn cluster formation. The basic mechanism is the spallation process induced from a shock wave propagating inside the liquid droplet. The spallation process is dependent on the pulse width of the solid state laser, and more uniform cluster formation is recently demonstrated by a picosecond solid state laser [13] . Figure 5 shows the measurement of the cluster formation from Sn liquid droplet by picosecond and nanosecond laser pulses. The resulting increase of the CE is 50% higher in the case of ps laser pre-pulsing, by achieving 5% CE, which is shown in Figure 6.

Figure 3. Model calculation results are shown on the temperature distribution in 50 mm diameter diamond window of 12.5 mm thickness, depending on the laser beam diameter d for 5, 7, 10, 13 mm.        

Figure 4. Calculated OPD (Optical Path Difference) for the diamond window in Figure 3 with d = 13 mm. One wavelength OPD exists in the beam center.       

A high beam quality, high repetition rate ps solid state laser is also a hot research topic in the field of high average power, short pulse solid state laser technology. HiLase project in Czech Republic is now aiming at achieving 500 W at 100 kHz repetition rate with a several ps pulse width of high quality laser beam to ensure focusing down to 10 μm diameter. The basic laser architecture is Yb:YAG thin disc, pumped by zero-phonon line laser diode [14] .

2.4. Increase of the EUV Light Transmission

The collection efficiency of the generated in band EUV light onto the IF point, is tentatively 22% in the Table 1. This is due to the result of the combined effects by higher transmission loss (>20%) due to the metal vapor and geometrical loss (>10%) [15] . Hydrogen gas is flowed inside the EUV chamber to prevent the scattering Sn particles from sputtering the C1 mirror coating. There is a tradeoff between the hydrogen pressure and the sputtering and deposition of Sn atoms. Higher hydrogen gas pressure is favorable for effective mitigation of Sn sputtering, but the transmission loss is higher. The situation is similar to an ion beam sputtering chamber, and a multi scale numerical simulation is effective to design a better low pressure gas flow for a long time operation without contamination [16] .

Another approach is a magnetic guiding of fully ionized Sn atoms for full recovery of the injected Sn fuel. This is demonstrated and studied in a low repetition rate laboratory system [17] . The high vacuum level inside

Figure 5. Distribution of expanding Sn mist by 10 ns and 10 ps pre-pulses with 2 mJ pulse energy. Uniform distribution is available in the case of picosecond pre-pulse irradiation.                                 

Figure 6. CE (Conversion Efficiency) comparison between ns and ps pre-pulse irradiation. CE~ 5% is obtained in the case of ps pre-pulse irradiation.                                

the chamber insures a lower loss during the EUV transport to the IF (intermediate focus). There are several key technologies in this particular method, namely best conditioning of the Sn target for full ionization by the CO2 laser pulse, magnetic field design, and low scattering Sn collection at the exhaust position. The physical process of the plasma flow is a subject to be studied in detail due to its unique characteristics of partially ionized plasma combined through fast charge exchange process [18] . An example of a stable Sn ion flow in a magnetic field is shown in Figure 7.

It is expected that the EUV collection efficiency is possibly increased from 22% to 40% in the kW level EUV light source. It is concluded that the extension towards the kW level EUV source is possible by following these improvements. Figure 8 shows a simple configuration of a kW level EUV source architecture.

(a)(b)

Figure 7. (a) Faraday cup measurement of Sn ion flow with and without guiding magnetic field; (b) An example of Sn ion flow with a guiding magnetic field.

Figure 8. Concept of LPP EUV source at 1 kW IF power. Two laser beams (75 kHz) are combined to irradiate Sn droplet at 150 kHz repetition rate.

3. Operation in Shorter Wavelength Region

It has been discussed in the past few years on the possibility to shift the working EUV wavelength from 13.5 nm to 6.x nm for the sake of the continuous lithography progress into the nm resolution (BEUV: beyond EUV). A pioneering study was reported from V. Banine of ASML in the Dublin source workshop in 2010 [19] . The reported conclusions were

1.    Lithography for 6.x nm wavelength has a potential to extend EUVL beyond 11 nm node.

2.    Multilayer (ML) coating has a potential for high reflectivity (theoretically up to 80%) for LaB4C. Currently demonstrated reflectivity is 44% thus better inter-layer diffusion control is required.

3.    EUV source: 2 potential source fuels are investigated (Tb and Gd), CE~1.8%/2%bw has been demonstrated.

4.    Optimization of EUV source spectrum with ML optics is required.

5.    Transmission of gases and contaminants for 6.x is significantly (up to 5×) better than for 13.5 nm. 6.x nm EUVL has a potential for a throughput comparable with 13.5 nm lithography at higher resolution.

We must notice that the available bandwidth of the multilayer mirror is narrower in the case of 6.x nm as 0.6%, compared with 2% of 13.5 nm ones. Detailed information was given by a report in the same workshop by Y.Platonov, on the present status of multilayer mirrors in this wavelength region [20] . It is reasonable to expect a realization of multilayer mirror technology in this wavelength as 70% reflectivity with 0.6% bandwidth after intensive research and development. The matching of the peak spectrum wavelength of the plasma and the multilayer mirror is the main research subject [21] .

There have been several high quality researches on the UTA (unresolved transition array) emission in this wavelength, and the emission process is now well understood. Theoretical 4d - 4f and 4p - 4d spectra of Pd-like to Rb-like lanthanide ions are calculated, and for increasing nuclear charge, strong emission peaks are observed to move toward shorter wavelength. The application of these strong emitters as extreme ultraviolet source is indicated as Gd and Tb as the best elements for 6.x nm [22] . An experiment by using low initial density Gd targets and dual laser pulse irradiation, showed a maximum EUV conversion efficiency (CE) of 0.54% for 0.6% bandwidth (BW) (which corresponds to 1.8% for 2% bw) by Nd:YAG laser experiment, which was 1.6 times larger than the 0.33% (0.6% bw) CE produced from a solid density target. Enhancement of CE by use of low density plasma is attributed to the reduction of self-absorption effects, which is also the general phenomenon in the case of Sn target [23] . Recent experiment by using a 70 ns pulse width CO2 laser showed 0.7% CE for 0.6% multilayer mirror from a planar Gd target. The measured spectrum is shown in Figure 9, with the spectrum peak as 6.76 nm for any laser irradiation intensity [24] .

It is reasonable to expect CE~5% in the case of CO2 laser irradiation of a dispersed Gd target generated from a micro droplet by pre-pulse for 2% bandwidth ML mirror. An available ML mirror has 0.6% bandwidth, and the actual CE corresponds to 1.5%/0.6% bw. Theoretical simulation shows that the emission intensity peaks at an optimum electron temperature close to 120 eV [25] . Irradiation intensity of CO2 laser must be higher than the case of Sn plasma EUV source to achieve the higher plasma temperature. Recovery of injected Gd is economically important due to the cost of the material. Full ionization and magnetic guiding is more attractive for this particular reason in the 6.x nm source technology. It is possible to assume 40% collection efficiency of the generated 6.x nm light as same as the 1 kW 13.5 nm source.

Figure 9. Time-integrated EUV emission spectra from CO2 laser produced Gd plasma at different laser intensities of 5.5 × 1010 (a); 8 × 1010 (b); 9.8 × 1010 (c); and 1.3 × 1011 W/cm2 (d), respectively. The peak wavelength of 6.76 nm remains constant with increasing the laser intensity.   

Table 2 is the summary of the working parameters for a 1 kW BEUV source, based on the considerations described above. Collector mirror reflectivity is assumed as 70%, and the collection efficiency is 40%. Conversion efficiency is expected as 1.5% for 0.6% ML mirror bandwidth. The required average power of CO2 laser is 160 kW, which is supplied by 4 beam lines of 40 kW, 125 kHz specifications.

Technical platform of the BEUV source is the same as the 13.5 nm one, but significant research work is necessary for the realization of the scalable BEUV source. Table 3 shows relevant working parameters. Repetition rate is assumed as 500 kHz due to the reason of the similar CO2 laser intensity on the cluster target. Gd droplet technology is a challenge for a stable operation with long life, due to its higher molten temperature as 1312˚C. Mist formation must be optimized for higher CE also in the case of Gd. Droplet speed should be increased to 500 m/s to keep the droplet interval as the case of Sn source technology. MV electrostatic acceleration is one of the possible methods. Effective multi scale physical model is useful to design an effective electromagnetic field to guide the Gd plasma flow for highest recovery, by using an available data of the charge exchange [18] . Figure 10 is a conceptual configuration of a 1 kW BEUV source, based on the discussion given above.

It is evaluated that the major research tasks are 1)    multilayer mirror of 70% peak reflectivity with spectrum matching to the plasma emission.

2)    confirmation of CE as 1.5%/0.6%bw from dispersed cluster Gd or Tb target by a short pulse CO2 laser.

3)    engineering confirmation of Gd or Tb droplet generator for 500 kHz repetition rate, 500 m/s drift speed.

Progress on 1) and 2) are reported from several research organizations, but the key engineering subject is the advanced droplet generator which can meet the requirement of 3). It is necessary to make a progress in this direction to predict a possibility of 1kW BEUV source technology based on the extension of the LPP architecture. Short wavelength FEL technology is advancing to be an alternative EUV source technology in the recent progress. The demonstrated repetition rate is still 10 Hz level with average power less than Watt. Significant research effort is required to evaluate the possibility towards kW level operation, by superconducting accelerator and continuous MHz repetition rate. Table 4 shows a list of operational parameters in a recent proposal [9] .

Table 2. 1 kW BEUV source parameters are shown. Conversion efficiency is almost probable*, and MLM reflectivity is expected to achieve 70% within this decade**.                                                     

Table 3. List of component technologies required for the 1 kW BEUV source. Gd target supply is the most stringent one*.

Table 4. Specifications of the proposed FEL for kW BEUV source.                      

Figure 10. Concept of LPP BEUV source with 6.x nm at 1kW IF power. Four CO2 laser beams (125 kHz) are combined to irradiate Gd/Tb target at 500 kHz.                       

4. Conclusion

It is discussed in this article on the possibility of the component technologies of the 13.5 nm EUV source, and the extension of the average power to 1 kW is concluded as potentially possible by a slight improvement of the present technologies. Improvement of the collection efficiency, or the reduction of the absorption loss, is especially effective to improve the overall efficiency, by keeping the higher vacuum level of the EUV source chamber. BEUV source is discussed also based on the same technology platform to realize a kW BEUV average power. It is indicated that there are several technical issues to be identified in a small scale research to confirm the basic engineering design. Non plasma approach by FEL toward kW BEUV is to be evaluated as an alternative to benchmark the conceptual work.

Acknowledgements

The author is grateful to Professor T. Higashiguchi of Utsunomiya University and his research group, and also to Professor G. O’Sullivan of University College Dublin and his research group, for fruitful discussions in this challenging subject. The author is also grateful to Gigaphoton Inc. for providing valuable experimental data. This work benefitted from the support of the Czech Republic’s Ministry of Education, Youth and Sports to the HiLASE (CZ.1.05/2.1.00/01.0027) and DPSS Lasers (CZ.1.07/2.3.00/20.0143) projects co-financed from the European Regional Development Fund grants and other people who have contributed to the work (other than authors) here.

References

  1. Grillo, G., Pagani, C., Saldin, E.L., Schneidmiller, E.A. and Yurkov, M.V. (2001) Nuclear Instruments and Methods in Physics Research A, 475, 39-42.
  2. Endo, A. (2010) CO2 Laser Produced Tin Plasma Light Source as the Solution for EUV Lithography. In: Wang, M., Ed., Lithography, Chapter 9, InTech. http://www.intechopen.com/
  3. http://www.cymer.com/
  4. http://www.gigaphoton.com/
  5. Fomenkov, I.V., La Fontaine, B., Brown, D., Ahmad, I., Baumgart, P., Böwering, N.R., Brandt, D.C., Bykanov, A.N., De Dea, S., Ershov, A.I., Farrar, N.R., Golich, D.J., Lercel, M.J., Myers, D.W., Rajyaguru, C., et al. (2012) Journal of Micro/Nanolithography, MEMS MOEMS, 11, Article ID: 021110. http://nanolithography.spiedigitallibrary.org/
  6. Otsuka, T., Kilbane, D., Higashiguchi, T., Yugami, N., Yatagai, T., Jiang, W., Endo, A., Dunne, P. and O’Sullivan, G. (2010) Applied Physics Letters, 97, Article ID: 231503. http://dx.doi.org/10.1063/1.3526383
  7. Platonov, Y., et al. (2011) Status of Multilayer Coatings for EUV Lithography. P25, International Workshop on EUVL, EUV Litho Inc. Maui, Hawaii, 13-17 June. https://www.euvlitho.com/
  8. Louis, E., et al. (2011) Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography. S24, International Workshop on EUV and Soft X-Ray Sources, EUV Litho Inc.Dublin, Ireland, 7-10 November. https://www.euvlitho.com/
  9. Dinger, U., Tuerke, D., Meseck, A., Patra, M., Sohmen, E. and Jankowiak, A. (2012) Concept Study on an Accelerator based Source for 6.x nm Lithography. S16, International Workshop on Extreme Ultraviolet Sources, Dublin, 8-11 October. https://www.euvlitho.com/
  10. Endo, A. (2012) High Average Power Pulsed CO2 Laser for Short Wavelength Light Sources. In: Dumitras, D.C., Ed., CO2 Laser-Optimization and Applications, Chapter 5, InTech. http://www.intechopen.com/
  11. Nowak, K.M., Ohta, T., Suganuma, T., Fujimoto, J., Mizoguchi, H. and Endo, A. (2013) Opto-Electronics Review, 21, 345-354.
  12. Sererova, P., Endo, A., Mocek, T. and Miura, T. (2013) Proceedings of SPIE, 8780, 87800W1-9.
  13. Mizoguchi, H., Nakarai, H., Abe, T., Ohta, T., Nowak, K.M., Kawasuji, Y., Tanaka, H., Watanabe, Y., Hori, T., Kodama, T., Shiraishi, Y., Yanagida, T., Yamada, T., Yamazaki, T., Okazaki, S. and Saitou, T. (2013) LPP-EUV Light Source Development for High Volume Manufacturing Lithography. SPIE Advanced Lithography, paper 8679-9, 24-28 Feb, San Jose, CA, US.
  14. Miura, T., Chyla, M., Smrž, M., Nagisetty, S. S., Severová, P., Novák, O., Endo, A. and Mocek, T. (2013) In-Situ Optical Distortion Measurement of Yb:YAG Thin Disc in High Average Power Regenerative Amplifier. SPIE Photonics West, Lase, 8599-63, 2-7 Feb, San Francisco, CA, US.
  15. Brandt, D.C. and Farrar, N.R. (2009) Solid State Technology, 52, 10. http://electroiq.com/
  16. Wandley, H.N.G., Zou, W., Zhou, X.W., Groves, J.F., Desa, S., Kosut, R., Abrahamson, E., Ghosal, S., Kozak, A.D. and Wang, X. (1999) Materials Research Society Symposium Proceedings, 5380, 323-328.
  17. Ueno, Y., Soumagne, G., Sumitani, A., Endo, A., Higashiguchi, T. and Yagami, N. (2008) Applied Physics Letters, 92, Article ID: 211503. http://dx.doi.org/10.1063/1.2938365
  18. Hashida, M., Sakabe, S. and Izawa, Y. (1996) Physical Review A, 54, 4573-4576. http://dx.doi.org/10.1103/PhysRevA.54.4573
  19. Banine, V. (2010) Next Generation of EUV Lithography: Challenges and Opportunities. P14, International Workshop on Extreme Ultraviolet Sources, EUV Litho Inc. Dublin, 13-15 November. https://www.euvlitho.com/
  20. Platonov, Y. (2010) Status of EUVL Multilayer Optics Deposition at RIT. P31, International Workshop on Extreme Ultraviolet Sources, EUV Litho Inc. Dublin, 13-15 November. https://www.euvlitho.com/
  21. Makhotkin, I., et al. (2012) Multilayers for 6.8 nm Wavelength. S50, International Workshop on EUV and Soft X-Ray Sources, EUV Litho Inc. Dublin, Ireland, 8-11 October. https://www.euvlitho.com/
  22. Kilbane, D. and O’Sullivan, G. (2010) Physical Review A, 82, Article ID: 062504.
  23. Higashiguchi, T., Otsuka, T., Yugami, N., Jiang, W., Endo, A., Li, B., Kilbane, D., Dunne, P. and O’Sullivan, G. (2011) Applied Physics Letters, 99, Article ID: 191502. http://dx.doi.org/10.1063/1.3660275
  24. Higashiguchi, T., Li, B., Suzuki, Y., Kawasaki, M., Ohashi, H., Torii, S., Nakamura, D., Takahashi, A., Okada, T., Jiang, W.H., Miura, T., Endo, A., Dunne, P., O’Sullivan, G. and Makimura, T. (2013) Optics Express, 21, Article ID: 31837. http://dx.doi.org/10.1364/OE.21.031837
  25. Li, B.W., Otsuka, T., Higashiguchi, T., Yugami, N., Jiang, W.H., Endo, A., Dunne, P. and O’Sullivan, G. (2012) Applied Physics Letters, 101, Article ID: 013112. http://dx.doi.org/10.1063/1.4732791